"use strict"; Object.defineProperty(exports, "__esModule", { value: true }); exports.default = void 0; var _default = { Boolean: 1, Text: 2, Number: 3, URL: 4, somethingelse: 5, Link: 6, Array: 7, View: 8, Object: 9, Real: 10, Float: 10, Modules: 666, Whatever: 999 }; exports.default = _default;